1 -- VHDL model created from schematic config_space_header.sch -- Jan 09 09:34:16 2007
 
   5 USE ieee.std_logic_1164.ALL;
 
   6 USE ieee.numeric_std.ALL;
 
   9 entity CONFIG_SPACE_HEADER is
 
  10         Port ( AD_REG : In std_logic_vector (31 downto 0);
 
  11                ADDR_REG : In std_logic_vector (31 downto 0);
 
  12                CBE_REGn : In std_logic_vector (3 downto 0);
 
  13                CF_RD_COM : In std_logic;
 
  14                CF_WR_COM : In std_logic;
 
  15                IRDY_REGn : In std_logic;
 
  16                PCI_CLOCK : In std_logic;
 
  17                PCI_RSTn : In std_logic;
 
  19                REVISION_ID : In std_logic_vector (7 downto 0);
 
  22                VENDOR_ID : In std_logic_vector (15 downto 0);
 
  23                CONF_DATA : Out std_logic_vector (31 downto 0);
 
  24                CONF_DATA_04H : Out std_logic_vector (31 downto 0);
 
  25                CONF_DATA_10H : Out std_logic_vector (31 downto 0) );
 
  26 end CONFIG_SPACE_HEADER;
 
  28 architecture SCHEMATIC of CONFIG_SPACE_HEADER is
 
  30         SIGNAL gnd : std_logic := '0';
 
  31         SIGNAL vcc : std_logic := '1';
 
  33         signal CONF_WR_04H : std_logic;
 
  34         signal CONF_WR_10H : std_logic;
 
  35         signal CONF_WR_3CH : std_logic;
 
  36         signal CONF_READ_SEL : std_logic_vector (2 downto 0);
 
  37         signal CONF_DATA_10H_DUMMY : std_logic_vector (31 downto 0);
 
  38         signal CONF_DATA_04H_DUMMY : std_logic_vector (31 downto 0);
 
  39         signal CONF_DATA_3CH : std_logic_vector (31 downto 0);
 
  40         signal CONF_DATA_08H : std_logic_vector (31 downto 0);
 
  41         signal CONF_DATA_00H : std_logic_vector (31 downto 0);
 
  43         component CONFIG_MUX_0
 
  44                 Port ( CONF_DATA_00H : In std_logic_vector (31 downto 0);
 
  45                        CONF_DATA_04H : In std_logic_vector (31 downto 0);
 
  46                        CONF_DATA_08H : In std_logic_vector (31 downto 0);
 
  47                        CONF_DATA_10H : In std_logic_vector (31 downto 0);
 
  48                        CONF_DATA_3CH : In std_logic_vector (31 downto 0);
 
  49                        READ_SEL : In std_logic_vector (2 downto 0);
 
  50                        CONF_DATA : Out std_logic_vector (31 downto 0) );
 
  54                 Port ( ADDR_REG : In std_logic_vector (31 downto 0);
 
  55                        CF_RD_COM : In std_logic;
 
  56                        READ_SEL : Out std_logic_vector (2 downto 0) );
 
  60                 Port ( ADDR_REG : In std_logic_vector (31 downto 0);
 
  61                        CF_WR_COM : In std_logic;
 
  62                        IRDY_REGn : In std_logic;
 
  64                        CONF_WR_04H : Out std_logic;
 
  65                        CONF_WR_10H : Out std_logic;
 
  66                        CONF_WR_3CH : Out std_logic );
 
  70                 Port ( AD_REG : In std_logic_vector (31 downto 0);
 
  71                        CBE_REGn : In std_logic_vector (3 downto 0);
 
  72                        CONF_WR_3CH : In std_logic;
 
  73                        PCI_CLOCK : In std_logic;
 
  74                        PCI_RSTn : In std_logic;
 
  75                        CONF_DATA_3CH : Out std_logic_vector (31 downto 0) );
 
  79                 Port ( AD_REG : In std_logic_vector (31 downto 0);
 
  80                        CBE_REGn : In std_logic_vector (3 downto 0);
 
  81                        CONF_WR_10H : In std_logic;
 
  82                        PCI_CLOCK : In std_logic;
 
  83                        PCI_RSTn : In std_logic;
 
  84                        CONF_DATA_10H : Out std_logic_vector (31 downto 0) );
 
  88                 Port ( REVISION_ID : In std_logic_vector (7 downto 0);
 
  89                        CONF_DATA_08H : Out std_logic_vector (31 downto 0) );
 
  93                 Port ( VENDOR_ID : In std_logic_vector (15 downto 0);
 
  94                        CONF_DATA_00H : Out std_logic_vector (31 downto 0) );
 
  98                 Port ( AD_REG : In std_logic_vector (31 downto 0);
 
  99                        CBE_REGn : In std_logic_vector (3 downto 0);
 
 100                        CONF_WR_04H : In std_logic;
 
 101                        PCI_CLOCK : In std_logic;
 
 102                        PCI_RSTn : In std_logic;
 
 105                        CONF_DATA_04H : Out std_logic_vector (31 downto 0) );
 
 110         CONF_DATA_04H <= CONF_DATA_04H_DUMMY;
 
 111         CONF_DATA_10H <= CONF_DATA_10H_DUMMY;
 
 114         Port Map ( CONF_DATA_00H(31 downto 0)=>CONF_DATA_00H(31 downto 0),
 
 115         CONF_DATA_04H(31 downto 0)=>CONF_DATA_04H_DUMMY(31 downto 0),
 
 116         CONF_DATA_08H(31 downto 0)=>CONF_DATA_08H(31 downto 0),
 
 117         CONF_DATA_10H(31 downto 0)=>CONF_DATA_10H_DUMMY(31 downto 0),
 
 118         CONF_DATA_3CH(31 downto 0)=>CONF_DATA_3CH(31 downto 0),
 
 119         READ_SEL(2 downto 0)=>CONF_READ_SEL(2 downto 0),
 
 120         CONF_DATA(31 downto 0)=>CONF_DATA(31 downto 0) );
 
 122         Port Map ( ADDR_REG(31 downto 0)=>ADDR_REG(31 downto 0),
 
 123                    CF_RD_COM=>CF_RD_COM,
 
 124                    READ_SEL(2 downto 0)=>CONF_READ_SEL(2 downto 0) );
 
 126         Port Map ( ADDR_REG(31 downto 0)=>ADDR_REG(31 downto 0),
 
 127                    CF_WR_COM=>CF_WR_COM, IRDY_REGn=>IRDY_REGn,
 
 128                    TRDYn=>TRDYn, CONF_WR_04H=>CONF_WR_04H,
 
 129                    CONF_WR_10H=>CONF_WR_10H, CONF_WR_3CH=>CONF_WR_3CH );
 
 131         Port Map ( AD_REG(31 downto 0)=>AD_REG(31 downto 0),
 
 132         CBE_REGn(3 downto 0)=>CBE_REGn(3 downto 0),
 
 133         CONF_WR_3CH=>CONF_WR_3CH, PCI_CLOCK=>PCI_CLOCK,
 
 135         CONF_DATA_3CH(31 downto 0)=>CONF_DATA_3CH(31 downto 0) );
 
 137         Port Map ( AD_REG(31 downto 0)=>AD_REG(31 downto 0),
 
 138         CBE_REGn(3 downto 0)=>CBE_REGn(3 downto 0),
 
 139         CONF_WR_10H=>CONF_WR_10H, PCI_CLOCK=>PCI_CLOCK,
 
 141         CONF_DATA_10H(31 downto 0)=>CONF_DATA_10H_DUMMY(31 downto 0) );
 
 143         Port Map ( REVISION_ID(7 downto 0)=>REVISION_ID(7 downto 0),
 
 144         CONF_DATA_08H(31 downto 0)=>CONF_DATA_08H(31 downto 0) );
 
 146         Port Map ( VENDOR_ID(15 downto 0)=>VENDOR_ID(15 downto 0),
 
 147         CONF_DATA_00H(31 downto 0)=>CONF_DATA_00H(31 downto 0) );
 
 149         Port Map ( AD_REG(31 downto 0)=>AD_REG(31 downto 0),
 
 150         CBE_REGn(3 downto 0)=>CBE_REGn(3 downto 0),
 
 151         CONF_WR_04H=>CONF_WR_04H, PCI_CLOCK=>PCI_CLOCK,
 
 152         PCI_RSTn=>PCI_RSTn, PERR=>PERR, SERR=>SERR,
 
 153         CONF_DATA_04H(31 downto 0)=>CONF_DATA_04H_DUMMY(31 downto 0) );