7 use ieee.std_logic_1164.all;
 
  12         GLEICH                  :in             std_logic_vector(7 downto 0);
 
  13         GLEICH_OUT      :out    std_logic
 
  18 architecture VERG_8_DESIGN of VERG_8 is
 
  23 --      GLEICH(0) nicht noetig. Addr-Bereich = 16 Byte
 
  25 --      GLEICH_OUT      <=      '1'     when    GLEICH(7 downto 0)      =       "11111111"      else    '0';    
 
  26                 GLEICH_OUT      <=      '1'     when    GLEICH(7 downto 1)      =       "1111111"               else    '0'; 
 
  28 end architecture VERG_8_DESIGN ;