1 --+-------------------------------------------------------------------------------------------------+
 
   5 --|  Components:        pci32lite.vhd                                                                   |
 
  13 --|      Description:   RS1 PCI Demo : (TOP) Main file.                                                                                 |
 
  17 --+-------------------------------------------------------------------------------------------------+
 
  19 --|  Revision history :                                                                                                                                                         |
 
  20 --|  Date                 Version       Author  Description                                                                                                             |
 
  25 --+-------------------------------------------------------------------------------------------------+
 
  28 --+-----------------------------------------------------------------------------+
 
  30 --+-----------------------------------------------------------------------------+
 
  33 use ieee.std_logic_1164.all;
 
  34 use ieee.std_logic_arith.all;
 
  35 use ieee.std_logic_unsigned.all;
 
  37 --+-----------------------------------------------------------------------------+
 
  39 --+-----------------------------------------------------------------------------+
 
  45     PCI_CLK     : in std_logic;
 
  46     PCI_nRES    : in std_logic;
 
  49     PCI_AD      : inout std_logic_vector(31 downto 0);
 
  50     PCI_CBE     : in std_logic_vector(3 downto 0);
 
  51     PCI_PAR     : out std_logic;  
 
  52     PCI_nFRAME  : in std_logic;
 
  53     PCI_nIRDY   : in std_logic;
 
  54     PCI_nTRDY   : out std_logic;
 
  55     PCI_nDEVSEL : out std_logic;
 
  56     PCI_nSTOP   : out std_logic;
 
  57     PCI_IDSEL   : in std_logic;
 
  58     PCI_nPERR   : out std_logic;
 
  59     PCI_nSERR   : out std_logic;
 
  60     PCI_nINT    : out std_logic;
 
  63         LED_INIT         : out std_logic;
 
  64         LED_ACCESS       : out std_logic;
 
  65         LED_ALIVE : out std_logic
 
  71 --+-----------------------------------------------------------------------------+
 
  73 --+-----------------------------------------------------------------------------+
 
  75 architecture pci_7seg_arch of pci_7seg is
 
  78 --+-----------------------------------------------------------------------------+
 
  80 --+-----------------------------------------------------------------------------+
 
  90     ad          : inout std_logic_vector(31 downto 0);
 
  91     cbe         : in std_logic_vector(3 downto 0);
 
  96     devsel      : out std_logic;
 
 100     serr        : out std_logic;
 
 101     intb        : out std_logic;
 
 104     wb_adr_o     : out std_logic_vector(24 downto 1);     
 
 105         wb_dat_i     : in std_logic_vector(15 downto 0);
 
 106     wb_dat_o     : out std_logic_vector(15 downto 0);
 
 107         wb_sel_o     : out std_logic_vector(1 downto 0);
 
 108     wb_we_o      : out std_logic;
 
 109         wb_stb_o     : out std_logic;
 
 110         wb_cyc_o     : out std_logic;
 
 111         wb_ack_i     : in std_logic;
 
 112         wb_err_i     : in std_logic;
 
 113         wb_int_i     : in std_logic;
 
 116         debug_init       : out std_logic;
 
 117         debug_access : out std_logic 
 
 124         clk_i : in std_logic;
 
 125         nrst_i : in std_logic;
 
 126         led_o : out std_logic
 
 131 --+-----------------------------------------------------------------------------+
 
 133 --+-----------------------------------------------------------------------------+
 
 134 --+-----------------------------------------------------------------------------+
 
 136 --+-----------------------------------------------------------------------------+
 
 138         signal  wb_adr :                std_logic_vector(24 downto 1);   
 
 139         signal  wb_dat_out :    std_logic_vector(15 downto 0);
 
 140         signal  wb_dat_in :             std_logic_vector(15 downto 0);
 
 141         signal  wb_sel :                std_logic_vector(1 downto 0);
 
 142         signal  wb_we :                 std_logic;
 
 143         signal  wb_stb :                std_logic;
 
 144         signal  wb_cyc :                std_logic;
 
 145         signal  wb_ack :                std_logic;
 
 146         signal  wb_err :                std_logic;
 
 147         signal  wb_int :                std_logic;
 
 152 --+-----------------------------------------+
 
 154 --+-----------------------------------------+
 
 156 u_pci: component pci32tlite
 
 166         devsel =>       PCI_nDEVSEL,
 
 173                 wb_dat_i =>             wb_dat_out,
 
 174         wb_dat_o =>     wb_dat_in,
 
 182                 debug_init =>   LED_INIT,
 
 183                 debug_access => LED_ACCESS
 
 186 --+-----------------------------------------+
 
 188 --+-----------------------------------------+
 
 190 my_heartbeat: component heartbeat