-- General \r
PCI_CLK : in std_logic;\r
PCI_nRES : in std_logic;\r
+ PCI_nREQ : out std_logic;\r
\r
-- PCI target 32bits\r
PCI_AD : inout std_logic_vector(31 downto 0);\r
LED2 : out std_logic;\r
LED4 : out std_logic;\r
LED5 : out std_logic\r
+-- IDE1 : out std_logic;\r
+-- IDE2 : out std_logic;\r
+-- IDE3 : out std_logic;\r
+-- IDE4 : out std_logic\r
\r
);\r
end raggedstone;\r
led2_o : out std_logic;\r
led3_o : out std_logic;\r
led4_o : out std_logic;\r
- led5_o : out std_logic\r
+ led5_o : out std_logic;\r
+ led6_o : out std_logic;\r
+ led7_o : out std_logic;\r
+ led8_o : out std_logic;\r
+ led9_o : out std_logic\r
);\r
end component;\r
\r
\r
begin\r
\r
+ PCI_nREQ <= '1';\r
+\r
--+-----------------------------------------+\r
--| PCI Target |\r
--+-----------------------------------------+\r
led3_o => LED3,\r
led4_o => LED4,\r
led5_o => LED5\r
+-- led6_o => IDE1,\r
+-- led7_o => IDE2,\r
+-- led8_o => IDE3,\r
+-- led9_o => IDE4\r
);\r
\r
end raggedstone_arch;\r