X-Git-Url: http://cvs.zerfleddert.de/cgi-bin/gitweb.cgi/raggedstone/blobdiff_plain/f7be01477b0e068cbd8bc736401baff92def833b..6e0190983147b04b9d969df9bea8ff56118b390a:/heartbeat/source/top_raggedstone.vhd?ds=sidebyside diff --git a/heartbeat/source/top_raggedstone.vhd b/heartbeat/source/top_raggedstone.vhd index b073989..01dc26b 100644 --- a/heartbeat/source/top_raggedstone.vhd +++ b/heartbeat/source/top_raggedstone.vhd @@ -44,6 +44,7 @@ port ( -- General PCI_CLK : in std_logic; PCI_nRES : in std_logic; + PCI_nREQ : out std_logic; -- PCI target 32bits PCI_AD : inout std_logic_vector(31 downto 0); @@ -64,6 +65,10 @@ port ( LED2 : out std_logic; LED4 : out std_logic; LED5 : out std_logic +-- IDE1 : out std_logic; +-- IDE2 : out std_logic; +-- IDE3 : out std_logic; +-- IDE4 : out std_logic ); end raggedstone; @@ -127,7 +132,11 @@ port ( led2_o : out std_logic; led3_o : out std_logic; led4_o : out std_logic; - led5_o : out std_logic + led5_o : out std_logic; + led6_o : out std_logic; + led7_o : out std_logic; + led8_o : out std_logic; + led9_o : out std_logic ); end component; @@ -153,6 +162,8 @@ end component; begin + PCI_nREQ <= '1'; + --+-----------------------------------------+ --| PCI Target | --+-----------------------------------------+ @@ -199,6 +210,10 @@ port map( led3_o => LED3, led4_o => LED4, led5_o => LED5 +-- led6_o => IDE1, +-- led7_o => IDE2, +-- led8_o => IDE3, +-- led9_o => IDE4 ); end raggedstone_arch;