4 -- File: VEN_REV_ID.VHD
7 use IEEE.std_logic_1164.all;
12 VEN_ID :out std_logic_vector(15 downto 0);
13 REV_ID :out std_logic_vector( 7 downto 0)
15 end entity VEN_REV_ID;
17 architecture VEN_REV_ID_DESIGN of VEN_REV_ID is
24 end architecture VEN_REV_ID_DESIGN;