);\r
end component;\r
\r
+component generic_dpram\r
+port (\r
+ rclk : in std_logic;\r
+ rrst : in std_logic;\r
+ rce : in std_logic;\r
+ oe : in std_logic;\r
+ raddr : in std_logic_vector(11 downto 0);\r
+ do : out std_logic_vector(7 downto 0);\r
+ wclk : in std_logic;\r
+ wrst : in std_logic;\r
+ wce : in std_logic;\r
+ we : in std_logic;\r
+ waddr : in std_logic_vector(11 downto 0);\r
+ di : in std_logic_vector(7 downto 0);\r
+);\r
+end component;\r
+\r
\r
--+-----------------------------------------------------------------------------+\r
--| CONSTANTS |\r